Справочник от Автор24
Поделись лекцией за скидку на Автор24

Системы реального времени. Устройства ввода/вывода информации

  • ⌛ 2011 год
  • 👀 465 просмотров
  • 📌 415 загрузок
  • 🏢️ ТПУ
Выбери формат для чтения
Статья: Системы реального времени. Устройства ввода/вывода информации
Найди решение своей задачи среди 1 000 000 ответов
Загружаем конспект в формате pdf
Это займет всего пару минут! А пока ты можешь прочитать работу в формате Word 👇
Конспект лекции по дисциплине «Системы реального времени. Устройства ввода/вывода информации» pdf
МИНИСТЕРСТВО ОБРАЗОВАНИЯ И НАУКИ РФ Государственное образовательное учреждение высшего и профессионального образования «НАЦИОНАЛЬНЫЙ ИССЛЕДОВАТЕЛЬСКИЙ ТОМСКИЙ ПОЛИТЕХНИЧЕСКИЙ УНИВЕРСИТЕТ» _____________________________________________________ В. В. КУРГАНОВ СИСТМЫ РЕАЛЬНОГО ВРЕМЕНИ УСТРОЙСТВА ВВОДА/ВЫВОДА ИНФОРМАЦИИ Курс лекций Томск 2011 1 СОДЕРЖАНИЕ ВВЕДЕНИЕ 1. СИГНАЛЫ 1.1 Классификация сигналов 1.1 Типовая структурная схема подсистемы ввода/вывода СРВ 1.3 Организация и элементная база устройств ввода/вывода дискретных сигналов 1.4 Основные схемы ввода/вывода однобитовых и многобитовых сигналов 1.5 Устройства малой степени интеграции 1.6 Элементы ввода/вывода средней степени интеграции 1.7 Элементы ввода/вывода большой степени интеграции 2 ПРЕОБРАЗОВАНИЕ ИНФОРМАЦИИ В УСТРОЙСТВАХ ВВОДА / ВЫВОДА 2.1 Цифро-аналоговые преобразователи 2.2 Аналого-цифровые преобразователи 3 МЕТРОЛОГИЧЕСКИЕ ХАРАКТЕРИСТИКИ КАНАЛОВ ВВОДА ВЫВОДА 2 ВВЕДЕНИЕ Давайте вспомним определение системы реального времени. Система реального времени (СРВ) – программно аппаратный комплекс, реагирующий в предсказуемые времена на непредсказуемый поток внешних событий. Это определение означает, что: - система должна успеть отреагировать на событие, произошедшее на объекте, в течение времени, критического для этого события, причем величина критического времени определяется самим объектом, отсутствие реакции расценивается как авария; - система должна успевать среагировать на одновременно происходящие события, даже если их 2 или более, в течение интервала, критичного для каждого из этих событий. Применение операционной системы реального времени всегда связано с аппаратурой, с объектом, с событиями, происходящими на объекте. Система реального времени, как аппаратно-программный комплекс, включает: - датчики и преобразователи, регистрирующие события на объекте; - модули ввода-вывода, преобразующие показания датчиков и преобразователей в цифровой вид, пригодный для обработки этих показаний вычислительным устройством; - вычислительное устройство с программой (операционной системой реального времени), реагирующей на события, происходящие на объекте. Система реального времени ориентирована на обработку внешних событий. Таким образом, система реального времени немыслима без устройства ввода/вывода, через которое осуществляется связь вычислительного устройства и объекта. Сделав такое заключение, мы, тем самым, определили назначение УВВ и его место в СРВ. Давайте рассмотрим организацию УВВ, основные схемные решения, положенные в основу их построения и элементную базу, на которой выполняются УВВ. 3 1. СИГНАЛЫ Но прежде чем мы будем говорить об этом, давайте обратимся к не менее важному вопросу, а именно к виду сигналов в СРВ. Вспомним немного «Теорию сигналов» и попробуем разрушить существующие стереотипы о сигналах в информационно-управляющих системах. Сигнал – это некоторая функция, несущая сообщение о состоянии объекта или некоторой физической системы. В этом смысле сигнал можно рассматривать как результат некоторых измерений (аналоговый сигнал) или информация о состоянии объекта (дискретный сигнал). Аналоговый сигнал (АС) – сигнал, описываемый непрерывной функцией X(t), причем сама функция Х и аргумент t могут принимать любые значения, пример X(t) = Um sin t (см. рисунок 1). Другое определение аналогового сигнала может звучать следующим образом: АС – сигнал, заданный на несчётном множестве. Дискретный сигнал (ДС) – сигнал, описываемый решетчатыми функциями – последовательностями – X(nT), где Т – интервал дискретизации, n – 0,1,2,3,… целое число. Сама функция X(nT) в существует только в моменты nT, но в эти моменты она может принимать произвольные значения. Пример X(t) = Um sin  nT (см. рисунок 2). Рисунок 1 Рисунок 2 4 Цифровые сигналы (ЦС) – квантованные по уровню дискретные сигналы и описываемые квантованными решетчатыми функциями. ЦС в дискретные моменты времени nT может принимать конечный ряд значений – уровней квантования L1, L2, … Ln. Существуют различные способы выбора функции квантования. В простейшем случае L = Lk – Lk-1 = const – постоянный уровень квантования. В этом случае функция квантования имеет вид L1 _ при _ X (nT )  ( L2  L1) / 2  Xц (nT )  Fk ( X (nT ))  Ll _ при _( Ll  Ll 1 ) / 2  X (nT )  ( Ll 1  Ll ) / 2 L _ при _( L  L ) / 2  X (nT ) n n 1  Обычно каждый уровень квитирования кодируется двоичным числом (см. рисунок 3). Рисунок 3 1.1 Классификация сигналов В различной технической литературе существует достаточно большое количество различных классификаций сигналов. Всё зависит от признака, который положен в основу классификации, например: - физическая природа сигналов (электрические, пневматические, гидравлические, звуковые, видео и т.д.); - по типу определённости сигнала (детерминированный, случайный); - по типу представления сигналов (аналоговый или дискретный); - и т. д. Однако нас больше интересует классификация сигналов по отношению к устройствам ввода/вывода. Рассмотрим эту классификацию без претензий на её единственность и абсолютную правильность (см. рисунок 4). 5 Рисунок 4 В классификации использованы следующие термины и определения: - входные сигналы – сигналы, которые вводятся в СРВ (измерения, состояния и т. д.); - выходные сигналы – сигналы, которые выводятся из СРВ (управляющие воздействия, результаты вычислений, преобразований и т.д.); - непрерывные (аналоговые) сигналы – сигналы, соответствующие данному ранее определению; - прерывные (дискретные) сигналы – сигналы, имеющие два устойчивых состояния (два значения квантования по уровню); (обрати внимание аудитории на некорректное, но тем не менее устойчивое использование термина «Дискретные сигналы», ведь дискретный сигнал – сигнал квантованный по времени, а не по уровню); - однобитовые сигналы – сигналы типа да/нет, вкл/откл, больше/меньше и т.д.; - многобитовые сигналы – сигналы, в которых информация передаётся несколькими битами; - частотно-импульсные сигналы – сигналы, информация об измеряемой величине в которых передаётся определённой частотой импульсов; - числоимпульсные сигналы – сигналы, в которых любая непрерывная величина путём квантования представляется рядом дискретных по уровню значений и каждому квантованному уровню соответствует определённое количество импульсов; - цифровые сигналы – сигналы, квантованные и по времени и по уровню, а каждый уровень обозначается двоичным числом (см. определение выше). 6 1.2 Типовая структурная схема подсистемы ввода/вывода СРВ Типовая структурная схема подсистемы ввода/вывода СРВ представлена на рисунке 5. Рисунок 5 - Типовая структурная схема подсистемы ввода/вывода СРВ Следует отметить, что принципиально подсистема ввода/вывода аналоговых и дискретных сигналов друг от друга не отличаются, за исключением конкретной реализации отдельных элементов. Например: нормирующий преобразователь в подсистеме ввода/вывода аналоговых сигналов представляет собой оригинальное серьёзное устройство, в то время как в подсистеме ввода/вывода дискретных сигналов чаще всего – преобразователь уровня сигнала. 1.3 Организация и элементная база устройств ввода/вывода дискретных сигналов Рассмотрим структурную схему устройства ввода/вывода дискретных сигналов (см. рисунок 6). 7 Рисунок 6 - Структурная схема устройства ввода/вывода дискретных сигналов Датчики дискретных сигналов. Дискретным сигналом можно считать любой сигнал принимающий два устойчивых значения, одно из которых принимается за «0», а другое за «1». Соответственно любое техническое устройство, формирующее такой сигнал, может являться датчиком дискретного сигнала. Пример. - изменение сопротивления (ёмкости) при достижении уровня жидкости в емкости цепи электрод-корпус (кондуктометрические уровнемеры); - замыкание (размыкание) контакта при срабатывании пускателя двигателя, при достижении исполнительным механизмом крайнего положения; - изменение частоты детектирования при изменении степени облучения приемника; - т.д. 1.4 Основные схемы ввода/вывода однобитовых и многобитовых сигналов Функции подсистем ввода/вывода могут выполняться с помощью различной элементной базы. К числу простых устройств (малая степень интеграции) относятся: - логические элементы (И, ИЛИ, НЕ) - триггеры; - одновибраторы. К числу устройств средней степени интеграции: - декодеры (дешифраторы); 8 - мультиплексоры; - счетчики; - регистры и сдвиговые регистры; - т.д. К числу устройств большой степени интеграции (БИС): - порты ввода/вывода; - универсальные синхронные и асинхронные приёмопередатчики; - параллельные интерфейсы; - т.д. 1.5 Устройства малой степени интеграции 1.5.1 Логические элементы Базовые элементы, являющиеся основой для построения всех остальных, более сложных элементов (своеобразный строительный материал для всех логических элементов) ИЛИ – логический элемент, реализующий функция логического сложения чисел (дизъюнкция) A 1 Y B Y=A+B A 1 1 B 1 1 Y 1 1 1 Рисунок 7.1 И – логический элемент, реализующий функция логического умножения чисел (конъюнкция) A Y B Y=A* B A 1 1 B 1 1 Y 1 Рисунок 7.2 НЕ - логический элемент, реализующий функция логического отрицания (инверсия) A 1 Y Y=A A 1 B Y 1 A 1 1 B 1 1 Y 1 1 Рисунок 7.3 Исключающее ИЛИ A B =1 Y Y=A+B Рисунок 7.4 9 1.5.2 Триггеры. Устройства, имеющие два устойчивых состояния. На этих элементах могут быть организованы самые различные устройства в том числе: счетчики, переключатели, фиксаторы, ячейки памяти и т.д. Существует большая номенклатура триггеров, в том числе RS- триггер, D-триггер, JK-триггер и т.д. Наиболее простым элементом, в полной степени характеризующим его назначение, является RS- триггер. RS- триггер (Reset – Set) является базовой составляющей любого триггера. Давайте рассмотрим, как он работает. Рисунок 7.5 Если изменение состояния триггера необходимо «привязать» к какому-либо событию или тактовому генератору, то возможно использование тактируемого RS- триггера (см. рисунок 7.6). S S Q S TQ CLK R Q CLK Q R R Рисунок 7.6 RS- триггер действительно очень простой элемент и поэтому в чистом виде практически не используется, а всегда является дополнением более сложному триггеру. Например, D – триггеру. Своё название D – триггер получил от сокращения английского delay – задержка. В отличии от RS- триггера D – триггер имеет дополнительные входы D – информационный вход и CLK – вход тактовых импульсов (см. рисунок 7.7). 10 Рисунок 7.7 Триггер является универсальным элементом, используемым для построения различных, более сложных устройств. Рассмотрим пример построения двоичного счетчика на базе D – триггера. Рисунок 7.8 1.5.3 Одновибраторы Устройства, которые генерируют одиночный импульс заданной длительности при поступлении на его вход сигнала. Длительность импульса задаётся внешними навесными элементами. Условное графическое обозначение элемента приведено на рисунке 8. 11 +5B G1 A S Q Q C R Рисунок 8 Длительность выходного импульса для различных одновибраторов определяется поразному, но в общем случае T = k * C * R , к – коэффициент пропорциональности. Рассмотрим пример использования вышеперечисленных элементов для управления электродвигателем (см. рисунок 9) Рисунок 9 - Функциональная схема устройства управления двигателем Для управления двигателем Д предусмотрены две кнопки «ВКЛ» и «ОТКЛ». При нажатии кнопки «ВКЛ» напряжение фазы А через замкнутый контакт кнопки «ОТКЛ» подаётся на пускатель (реле) Р1, который своими контактами Р1.1, Р1.2, Р1.3 подключает двигатель к трёхфазной (однофазной) сети и одновременно контактом Р1.4 блокируется кнопка «ВКЛ» (самоподхват). Таким образом коммутируется неразрывная цепь: фаза А – нормально замкнутый контакт кнопки «ОТКЛ» - замкнутый контакт Р1.4 – обмотка пускателя (реле) – нулевой провод. 12 Рассмотрим возможные варианты автоматического управления (включения) двигателем. Вариант 1. Управляющее устройство по шине данных выдаёт сигнал на включение двигателя. Этот сигнал устанавливает на прямом выходе триггера «1» - высокий потенциал. Этот потенциал преобразуется по уровню и мощности и поступает на обмотку реле Р2. Реле Р2 срабатывает, контакт Р2.1 , включенный параллельно кнопке «ВКЛ», замыкается. Далее все операции аналогичны предыдущему случаю. При включении двигателя триггер остаётся взведённым (реле Р2 находится под напряжением), что по правилам эксплуатации электроустановок недопустимо. А что именно недопустимо? Недопустимо повторное автоматическое включение двигателя при плановой или аварийной остановке. Следовательно, необходимо сбросить триггер в исходное состояние. Эта операция выполняется с помощью обратной связи по состоянию двигателя. Как только произошло срабатывание пускателя, сигнал через его контакт Р1.5 поступает в управляющее устройство и сбрасывает триггер в исходное состояние. При этом сигнал сброса на входе R будет присутствовать до тех пор, пока работает двигатель. Для того чтобы исключить запрещенную комбинацию на входах триггера («1» на входах R и S) вычислительное устройство должно контролировать состояние двигателя и выдавать сигнал на включение только в случае отсутствия сигнала о его включении. Вариант 2. Как и в предыдущем случае, управляющее устройство по шине данных выдаёт сигнал на включение двигателя. Этот сигнал подаётся на вход одновибратора, на выходе которого формируется сигнал определённой длительности. Этот потенциал преобразуется по уровню и мощности и поступает на обмотку реле Р2. Реле Р2 срабатывает, контакт Р2.1 , включенный параллельно кнопке «ВКЛ», замыкается. Далее всё как в варианте 1. В отличие от варианта 1 реле Р2 находится под напряжением в течение времени равном длительности импульса на выходе одновибратора. После окончания импульса реле отпускает. Обратная связь не требуется. Надёжность управления варианта 2 конечно ниже, но также ниже и его стоимость. 1.6.1 Счетчики Счетчик – устройство, которое переходит в отличное от всех других состояние с поступлением синхросигнала до исчерпания ёмкости счетчика. С помощью счетчиков могут быть организованы временные задержки и т.д. Обычно счетчики бывают десятичными, двоичными и 12-ти разрядными. Возможные режимы работы - отсчет в прямом и обратном направлениях; - режим синхронного выхода (состояние всех разрядов изменяется одновременно). Примеры счетчиков. 13 4-хразрядный двоичный счетчик К155ИЕ5 (см. рисунок 10). Счетчик состоит из 2-х частей. Первая (1 триггер) делит на два, вторая (3 триггера) на 8. Если соединитьQ0 и C1 получится деление на 16. Входы R1 и R2 - сброс соответственно 1-го и 2-го счетчика. 4-хразрядный реверсивный двоичный счетчик К155ИЕ7 (см. рисунок 11). Счетчик позволяет вести счет в двух направлениях (увеличение содержимого счетчика +1 и уменьшение содержимого -1). Счетчик позволяет производить запись содержимого входов D0 – D3 на выход при низком потенциале (логический «0») на входе РЕ. R – асинхронный сброс. Выходные сигналы >=15 и <=0 являются признаками соответственно переполнения и обнуления счетчика. 1.6.2 Дешифраторы (декодеры) Устройства, преобразующие входную кодовую комбинацию в выходной сигнал на соответствующем выходе, т.е. на том выходе, десятичный номер которого соответствует двоичному коду. Общий принцип, заложенный в основу работы дешифратора довольно прост. Выход, соответствующий входной кодовой комбинации, выбирается по совпадению сигналов на многовходовых элементах «И» (см. рисунок 12). Рисунок 10 14 Рисунок 11 Рисунок 12 Рассмотрим работу одного из дешифраторов. К155ИД3 преобразует четырёхразрядный двоичный код в напряжение низкого уровня на одном из выходов 0 … 15 . Входы Е0 и Е1. Если на них высокое напряжение, то на всех выходах высокое напряжение. 15 DC A0 A1 A2 A3 1 2 3 E0 E1 14 15 Рисунок 13 Совместное использование счетчика и дешифратора рассмотрим на примере управления 16-ти разрядным цифровым индикатором (см. рисунок 14). Сигнал «Сброс» очищает счётчик в начале работы. Каждая операция записи изменяет состояние счётчика на «1» и направляет выходной сигнал к следующему индикатору. Процессор передаёт по одной цифре, а счетчик и дешифратор обеспечивают правильное направление передачи. Так как световые индикаторы инерционные элементы, а сигналы подаются на них часто (в цикле), то создаётся впечатление, что индикаторы горят непрерывно (принципиально может быть использован и элемент памяти – регистр). Рисунок 14 16 1.6.3 Регистры Сдвиговые регистры. Устройство, которое с поступлением каждого синхросигнала сдвигает своё содержимое на одну позицию влево или вправо. С помощью сдвиговых регистров можно преобразовывать данные из параллельного формата в последовательный и наоборот. Обычно такие регистры сдвигают влево и имеют последовательные входы и выходы. Существуют регистры с параллельными входами и выходами, с возможностью сдвига в обе стороны, очисткой и предварительной установкой, а также с трехстабильными* входами. Примеры регистров К555ИР8 – восьмиразрядный сдвиговый регистр с последовательным входом и параллельными выходами (см. рисунок 15.1). Регистр имеет асинхронный сброс R (сброс происходит независимо от состояния входа и выходов) и два входа последовательных данных DSa и DSb, объединенных логикой «И». С приходом каждого положительного перепада (фронта) на вход С происходит сдвиг данных на одну позицию влево (вниз). Данные на DSa и DSb в момент прихода фронта на С должны быть зафиксированы. Если на вход R подаётся напряжение низкого уровня, то на выходах устанавливается напряжение низкого уровня. Рисунок 15.1 - К555ИР8 Рисунок 15.2 - К555ИР9 Рисунок 15.3 К555ИР22 К555ИР9 - сдвиговый регистр, имеющий параллельные и последовательные входы. Параллельные данные загружаются в регистр через D0 – D7 асинхронно, если на РЕ (разрешение параллельной загрузки) подано напряжение низкого уровня. Если на РЕ напряжение высокого уровня, то данные вводятся через последовательный порт SI. Сдвиг данных вправо происходит каждым фронтом импульса С. Вход СЕ – разрешение сдвига (разрешение тактовых импульсов). Назначение регистра: - элемент задержки данных (данные подаются на вход SI и снимаются с выхода Q с задержкой); - преобразователь параллельного кода (входы D0 – D7) в последовательный (выход Q). Для полноты картины рассмотрим регистр К555ИР22 (см. рисунок 15.3). 17 К555ИР22 – восьмиразрядный регистр, буферные усилители которого имеют третье Z – состояние. Z-состояние – состояние, при котором входные цепи находятся в режиме высокого сопротивления, то есть не потребляют ток из магистрали данных. Назначение входов. РЕ – разрешение записи. Если на входе РЕ потенциал высокого уровня, то входы D0 – D7 отображаются на выходы Q0 – Q7. Если на РЕ отрицательный фронт (переход из потенциала высокого уровня в потенциал низкого уровня), то разрешается запись нового 8-битового слова входных данных на выход (режим хранения). Е0 – управление третьим состоянием. Если Е0 = 0, то входные данные проходят на выход, а если Е0 = 1, то буферные усилители переходят в третье состояние. Назначение регистра: - буферный регистр; - 8-битовый элемент памяти. 1.7 Элементы ввода/вывода большой степени интеграции К этим элементам относятся: - порты ввода/вывода; - универсальные синхронные и асинхронные приёмопередатчики; - параллельные интерфейсы; Рассмотрим некоторые из них, наиболее часто встречаемые не практике. 1.7.1 Порты ввода/вывода параллельной информации КР580ВВ55А Микросхема КР580ВВ55А – программируемое устройство ввода/вывода параллельной информации. Применяется для сопряжения различных типов периферийных устройств с магистралью данных систем обработки информации (систем реального времени) [1]. Условное графическое обозначение и структурная схема микросхемы приведены на рисунке 16. Назначение выводов микросхемы приведено в таблице 1. 18 Рисунок 16 - Условное графическое обозначение и структурная схема микросхемы КР580ВВ55А Таблица 1 – Назначение выводов микросхемы КР580ВВ55А Обозначение Тип вывода Функциональное назначение вывода ВА0 – ВА7 Входы/выходы Информационный канал А ВВ0 – ВВ7 Входы/выходы Информационный канал В ВС0 – ВС3 Входы/выходы Информационный канал С ВС4 – ВС7 Входы/выходы Информационный канал С RD Вход Чтение информации CS Вход Выбор микросхемы GND - Общий A0, A1 Вход Младшие разряды адреса Ucc - Напряжение питания +5В SR Вход Установка в исходное состояние WR Вход Запись информации Обмен информацией между магистралью данных и микросхемой КР580ВВ55А осуществляется через 8-разрядный двунаправленный трёхстабильный канал данных (D). Для связи с периферийными устройствами используются 24 линии ввода/вывода, сгруппированные в три 8-разрядных канала ВА, ВВ и ВС. Направление и режим работы каналов определяется программным способом. 19 Микросхема может работать в трёх режимах: 0 – синхронная программно управляемая передача данных через два независимых 8разрядных канала ВА и ВВ и два 4-разрядных канала ВС; 1 - ввод/вывод информации через два независимых 8-разрядных канала ВА и ВВ по сигналам квитирования, канал С используется для приёма и выдачи сигналов управления обменом; 2 - ввод/вывод информации через двунаправленный 8-разрядный канал ВА по сигналам квитирования (для приёма и выдачи сигналов управления обменом используются 5 линий канала С). Выбор канала и направление передачи информации через канал определяется сигналами А0, А1 (младшие разряды шины адреса) и сигналами RD, WR , CS в соответствии с таблицей 2. Режим работы каждого из каналов ВА, ВВ и ВС определяется регистром управляющего слова (РУС). После записи управляющего слова в РУС, можно перевести микросхему в один из трёх вышеперечисленных режимов. При подаче сигнала SR РУС устанавливается в состояние, при котором все каналы настраиваются на работу в режиме «0» для ввода информации. Режим работы каналов может быть изменён как в начале, так и в процессе выполнения программы, что позволяет обслуживать различные периферийные устройства в определённом порядке одной микросхемой. Формат управляющего слова, определяющего режим работы микросхемы приведен на рисунке 17. Таблица 2 - Выбор канала и направление передачи Сигналы на входах А1 А0 RD WR CS Направление передачи информации Операции ввода (чтение) 1 ВА --> канал данных 1 1 ВВ --> канал данных 1 1 ВС --> канал данных Операции вывода (запись) 1 Канал данных -->ВА 1 1 Канал данных -->ВВ 1 1 Канал данных -->ВС 1 1 1 Канал данных -->РУС Операции блокировки х х х х 1 Канал данных --> третье состояние 1 1 1 Запрещенная комбинация 20 Управл яющее слово D7 D6 D5 D4 D3 D2 D1 D0 } Разяды 0-3 канала ВС 1 - ввод 0 - вывод 1 Канал ВВ 1 - ввод 0 - вывод Режим работв ВВ и разрядов 0-3 ВС 0 - режим “0” 1 - режим “1” Разяды 4-7 канала ВС 1 - ввод 0 - вывод Канал ВА 1 - ввод 0 - вывод Режим работв ВА и разрядов 4-7 ВС 00 - режим “0” 01 - режим “1” 1Х - режим “2” Рисунок 17 – Формат управляющего слова определения режима Х – безразличное состояние В дополнении к основным режимам работы микросхема обеспечивает возможность программно независимой установки в «1» и сброса в «0» любого из разрядов регистра канала ВС. Формат управляющего слова приведён на рисунке 18. Управл яющее слово D7 D6 D5 D4 D3 D2 D1 D0 } } Неопределённое Код 000 001 010 011 100 101 110 111 1 - установ ить в 1 0 - установ ить в 0 Разяды канала ВС 1 2 3 4 5 6 7 8 Рисунок 18 - Формат управляющего слова для независимой установки в «1» и сброса в «0» любого из разрядов регистра канала ВС 21 1.7.2 Порт ввода/вывода последовательной информации КР580ВВ51А Микросхема КР580ВВ51А – универсальный синхронно-асинхронный приёмопередатчик (УСАПП), предназначен для аппаратной реализации последовательного протокола обмена между устройствами, способными запрограммировать данную микросхему на требуемый режим работы [2]. Микросхема УСАПП преобразует параллельный код от источника информации в последовательный поток символов со служебными битами и выдаёт этот поток в последовательный канал связи с различной скоростью. Микросхема также выполняет обратное преобразование, т. е. последовательный поток символов - в параллельное 8-разрядное слово. Принимаемая и передаваемая информация может контролироваться на четность (нечетность). Микросхема может работать в двух режимах: синхронном и асинхронном. Программирование микросхемы на режим выполняется записью в соответствующие регистры слов инструкции режима, служебных синхросимволов и инструкций команд. Максимальная скорость приёма/передачи информации по последовательному каналу 64К бод (бит в секунду), минимальная не ограничена и определяется внешним устройством (ВУ). Условное графическое обозначение и структурная схема микросхемы приведено на рисунке 19, назначение выводов микросхемы в таблице 3. Рисунок 19 – Условное графическое обозначение и структурная схема КР580ВВ51А 22 Таблица 3 – Назначение выводов микросхемы Обозначение D0 – D7 RxD GND TxC WR CS CO / D RD RxRDY TxRDY SYNDET / BD CTS TxEND TxD C SR DSR RTS DTR RxC Ucc Функциональное обозначение вывода Канал данных обмена между микросхемой и внешним устройством Приёмник микросхемы Общий Синхронизация передачи Запись информации Выбор микросхемы Управление / данные Чтение информации Готовность приёмника Готовность передатчика Двунаправленный трёхстабильный программируемый вход/выход Готовность внешнего устройства принять данные Конец передачи Передатчик микросхемы Синхронизация Установка исходного состояния (сброс) Готовность внешнего устройства передать данные Запрос приёмника внешнего устройства на приём данных Запрос передатчика внешнего устройства на передачу данных Синхронизация приёма +5 В Основными управляющими сигналами являются: WR, RD, CO/D, CS. Режимы работы микросхемы Синхронный мой/принимаемой режим информации. характеризуется Для непрерывным установления потоком синхронизации между передаваепередатчи- ком/приёмником КР580ВВ51А и передатчиком/приёмником внешнего устройства и выделения из потока символов полезной информации в поток информации вводятся кодирующие слова – синхросимволы. Информационная и временная длины синхросимвола и слова данных равны. Если между словами данных имеются промежутки, то они заполняются синхросимволами. Количество синхросимволов (1 или 2) устанавливается программно. Если запрограммирован контроль данных на четность (нечетность), то после каждого слова данных вставляется бит контроля. Сигналы на внешних входах микросхемы асинхронны по отношению к сигналу С. Однако соотношение частот общей синхронизации микросхемы (fc) и частот синхронизации передачи/приёма (fTxC, fRxC) должны быть: fTxC <= fc/30, fRxC <= fc/30. При этом обеспечивается скорость передачи/приёма информации v = Tx(Rx) = 0-64 Кбод. Асинхронный режим характеризуется одиночными посылками информации, инициализация которых происходит либо микропроцессором системы, либо внешним устройством. 23 В начале каждой посылки устанавливается отрицательный импульс «старт-бит», длительность которого равна биту данных. «Старт-бит» служит для ввода в синхронизацию передатчика/приёмника микросхемы КР580ВВ51А и передатчика/приёмника внешнего устройства. В конце каждой посылки устанавливается положительный импульс «стоп-бит», длительность которого может равняться 1; 1,5 и 2 длительностям бита информации (устанавливается программно); «стоп-бит» служит для определения конца посылки. Асинхронный режим имеет три подрежима, отличающихся друг от друга различным соотношением численных значений частот синхронизации передачи/приёма к скорости передачи. 1. Подрежим 1:1 соотношения частот общей синхронизации микросхемы и синхронизации передачи/приёма, а также скорость передачи аналогичны синхронному режиму. 2. Подрежим 1:16 vTx = fTxC/16 = 0 -19,2 Кбод; vRx = fRxC/16 = 0 -19,2 Кбод. 3. Подрежим 1:64 vTx = fTxC/64 = 0 -9,6 Кбод; vRx = fRxC/64 = 0 -9,6 Кбод. В подрежимах 1:16 и 1:64 должны выполняться условия fTxC<= fC/4,5; fRxC<= fC/4,5/ Программирование микросхемы на требуемый режим работы производится путём занесения в соответствующие регистры слов инструкций режима, синхросимволов (для синхронного режима) и команд. Формат и содержание команды приведены в таблице 4, инструкции режима - на рисунке 20. Таблица 4 - Формат и содержание команды Формат D0 D1 D2 D3 D4 D5 D6 D7 Код 1 1 1 1 1 1 1 1 Команда Передача информации невозможна. Передача информации возможна. Запрос о готовности передатчика ВУ передать данные Приём информации невозможен. Приём информации возможен. Пауза Сброс триггера ошибок в исходное состояние Запрос о готовности приёмника ВУ принять данные Программный сброс УСАПП в исходное состояние Поиск синхросимволов 24 Рисунок 20 – Формат и содержание инструкции режима 25 Последовательность программирования инструкции команды, инструкции режима и синхросимволов следующий: - установка исходного состояния; - запись инструкции режима; - запись первого синхросимвола; - запись второго синхросимвола; - запись инструкции команд. После записи инструкции режима, синхросимвола (синхросимволов) и инструкции команд микросхема переходит в один из пяти основных режимов: - асинхронная передача; - асинхронный приём; - синхронная передача; - синхронный приём с внутренней синхронизацией; - синхронный приём с внешней синхронизацией. Асинхронная передача После записи в микросхему данных в параллельном формате происходит автоматическое присоединение к каждой посылке старт-бита и стоп-бита. Бит контроля четности/нечетности (если он запрограммирован) вводится перед стоп-битом и может иметь единичное или нулевое значение. Если в инструкции команд в разряд D0 записана 1 и на выходе CTS устанавливается напряжение низкого уровня, то информация в виде последовательного потока данных подаётся на вывод TxD с частотой, кратной 1:1, 1:16, 1:64 части частоты синхронизации передатчика (как определено инструкцией режима). Если микросхема не содержит информации для передачи, то на выходе TxD устанавливается напряжение высокого уровня. Если в инструкции команды запрограммирована «пауза», то на выходе TxD устанавливается напряжение низкого уровня. Асинхронный приём Напряжение высокого уровня на входе RxD свидетельствует о том, что в данный момент нет приёма информации. Если микросхема запрограммирована инструкцией режима на асинхронный прием, то появление на входе RxD напряжения низкого уровня свидетельствует о приходе старт-бита. Истинность этого бита проверяется вторично стробированием в его середине. Если наличие напряжения низкого уровня на входе подтверждается, то запускается счетчик битов, который позволяет определить конец битов данных, бит контроля (если запрограммирован) и стоп-бит. С другой стороны, если при проверке истинности старт-бита на входе 26 окажется высокое напряжение, то приёмник переходит в исходное состояние. Схема управления и синхронизации приёмника предохраняет от ошибочного запуска счетчика битов, если на выводе RxD присутствует напряжение низкого уровня, вызванное командой D3 «Пауза» (см. таблицу 4). Регистр приёмника обнаруживает паузу и на выводе SYNDET/BD устанавливается напряжение высокого уровня. Синхронная передача После записи в микросхему инструкции режима, синхросимволов, инструкции команды и данных передатчик не начнёт передачу до тех пор, пока на входе CTS не установится напряжение низкого уровня. Если на входе CTS установилось напряжение низкого уровня и в разряд D0 инструкции команды записана «1», то передатчик начнёт трансляцию по выходу TxD со скоростью синхроимпульсов, поступающих на вход TxC. Синхронный приём с внутренней синхронизацией В этом режиме работа микросхемы начинается с поиска синхросимволов. Информация принимается по входу RxD на первый регистр приёмника и непрерывно сравнивается с содержимым регистра первого синхросимвола. Если содержимое двух регистров не одинаково, то регистр приёмника принимает следующий бит информации и сравнение повторяется. Когда содержимое сравниваемых регистров становится одинаковым, УСАПП заканчивает поиск и переходит в режим синхронизации. При этом, если не запрограммирован контроль по четности (нечетности), на выводе SYNDET/BD, работающем как выход, во время приёма последнего бита синхросимвола с задержкой 24Тс относительно фронта сигнала RxC устанавливается напряжение высокого уровня, сигнализируя внешнему устройству о том, что произошёл захват синхронизации. Если УСАПП запрограммирован на работу с двумя синхросимволами или мс контролем по четности (нечетности), то указанная выше ситуация произойдёт во время приёма последнего бита второго синхросимвола или бита контроля соответственно. На выводе SYNDET/BD при чтении состояния УСАПП устанавливается напряжение низкого уровня. Синхронный приём с внешней синхронизацией В режиме синхронного приёма с внешней синхронизацией на вывод SYNDET/BD, работающий как вход, подаётся напряжение синхронизации, которое разрешает приём информации по входу RxD со скоростью синхросигналов, поступающих па вход RxC. Длительность входных сигналов, поступающих на вход SYNDET/BD должна быть больше или равна периоду частоты синхронизации сигналов, поступающих на вход RxC. В режиме синхронного приёма с внешней синхронизацией запрограммированные синхросимволы не используются, а начало и конец приёма данных определяются сигналом SYNDET/BD. 27 2 ПРЕОБРАЗОВАНИЕ ИНФОРМАЦИИ В УСТРОЙСТВАХ ВВОДА / ВЫВОДА Если вновь обратиться к классификации сигналов, представленной на рисунке 4, то наряду с дискретными (прерывными) сигналами, основные схемы ввода/вывода которых рассмотрены ранее, существуют аналоговые (непрерывные) сигналы. Аналоговый сигнал - это сигнал, описываемый некоторой непрерывной функцией X(t), причем сама функция Х и аргумент t могут принимать любые значения. Самым распространенным примером аналогового сигнала является результат измерения некоторого технологического параметра (температуры, давления, расхода, плотности и т. д.) или непрерывного управляющего воздействия на исполнительный механизм. Причем следует понимать, что сущность аналогового сигнала (непрерывное существование во времени) и принцип действия любой цифровой системы (квантование по времени и по уровню) вступают в противоречие. Если обратиться к истории решения этого противоречия, то станет очевидным, что не цифровая система должна двигаться по направлению к аналоговому сигналу и, по сути, стать аналоговой системой, а аналоговый сигнал должен стать понятным цифровой системе, то есть стать цифровым сигналом. Преобразование аналоговых сигналов в цифровые в цифровых системах выполняют аналого-цифровые преобразователи (АЦП). Обратное преобразование из цифровых в аналоговые выполняют цифро-аналоговые преобразователи (ЦАП). Процесс преобразования информации в АЦП и ЦАП характеризуется следующими показателями: - точность (погрешность); - быстродействие. Погрешность преобразователя включает в себя методическую (погрешность метода или идеи заложенной в преобразование) и инструментальную (погрешность используемого инструмента) погрешности. Методическая погрешность к обусловлена погрешностью квантования аналоговой величины А по уровню к = А – N*h, где N – числовой эквивалент преобразуемой величины; h – шаг квантования. 28 В любом случае максимальная методическая погрешность будет меньше или равна половине единицы младшего разряда МР |к| ≤ 0,5 МР Младший разряд МР в общем случае равен h. Из этого следует, что для повышения точности преобразования необходимо уменьшать h, что достигается увеличением разрядности преобразователя. Инструментальная погрешность преобразователя включает: - погрешность настройки; - погрешность, связанную с временной нестабильностью; - температурную погрешность. Быстродействие ЦАП и АЦП ограничивается динамическими погрешностями, возникающими при квантовании аналоговой величины по времени (переходные процессы, время затухания и т. д.). Динамическая погрешность определяет время преобразования Тп. Время преобразования для ЦАП это время с момента поступления цифрового кода на вход преобразователя до установления выходной величины с заданной точностью. Для АЦП время преобразования это время от пуска преобразователя до момента получения кода на его выходе. Для АЦП важным параметром является апертурное время Тап - время неопределённости выходной величины в момент преобразования (в общем случае Тап  Тп). Другим параметром, определяющим быстродействие преобразователя, является период квантования, интервал между двумя последовательными преобразованиями. 2.1 Цифро-аналоговые преобразователи В основу цифро-аналоговых преобразователей положен принцип суммирования аналоговых величин, пропорциональных весам разрядов входного цифрового кода, разрядные коэффициенты которого равны «1». Пример. Пусть входной цифровой код четырёхразрядный. Пусть нулевому (младшему) разряду соответствует аналоговая величина 1 В, первому разряду – 2 В, второму – 4 В, третьему – 8 В. Допустим, что входной код 1011, тогда результат преобразования согласно определению будет равен 11 В (8 + 2 + 1=11). Рассмотрим краткую классификацию ЦАП, приведённую на рисунке 21. 29 Цифроаналоговые преобразователи Косвенное преобразование Прямое пребразование Параллельное преобразование Последовательное пребразование Рисунок 21 – Краткая классификация ЦАП Различают ЦАП с прямым и косвенным (промежуточным) преобразованием. Наиболее широко распространены ЦАП с прямым преобразованием. В зависимости от алгоритма обработки разрядов входного кода ЦАП с прямым преобразованием можно разделить на параллельные и последовательные. 2.1.2 Параллельные цифро-аналоговые преобразователи Большинство цифро-аналоговых преобразователей, выпускаемых в настоящее время параллельного типа. Принцип действия этих ЦАП основан на суммировании эталонных токов (напряжений) соответствующих весам разрядов. Обычно суммирование токов (напряжений) производится с помощью операционного усилителя ОУ, напряжение, на выходе которого, пропорционально коду N Uвых = Rос*N*I1, где Rос – сопротивление отрицательной обратной связи ОУ; N – числовой эквивалент преобразуемого цифрового кода; I1 – эталонный ток первого разряда. Среди преобразователей параллельного типа наиболее распространёнными являются цифро-аналоговые преобразователи на базе резистивных матриц R- 2R. Резистивная матрица R2R является, во-первых, высоко технологичной из-за ограниченного числа номиналов (всего два) резисторов, а во-вторых – идеальным делителем напряжения. Резистивная матрица представлена на рисунке 22. 2R 1’ R 2’ R 3’ (m-1)’ R m’ 2R A B 2R 1 2R 2 2R 3 2R (m-1) 2R m Рисунок 22 - Резистивная матрица R- 2R. 30 1’, 2’, 3’,…, (m-1)’, m’ – узловые точки; 1, 2, 3,…, (m-1), m – разрядные входы. Основные свойства резистивной матрицы Свойство 1. Сопротивление от любой узловой точки (1’, 2’, 3’,…, (m-1)’, m’) во всех направлениях равно 2R. Свойство 2. Коэффициент передачи от узловой точки к узловой равен 1/2. Свойство 3. Коэффициент передачи от разрядного входа (1, 2, 3,…, (m-1), m) к соответствующей ему узловой точке (1’, 2’, 3’,…, (m-1)’, m’) равен 1/3. Первое свойство легко доказывается, если предположить, что потенциалы во всех разрядных входах (1, 2, 3,…, (m-1), m), а также входах А и В одинаковые. Это предположение позволяет нам объединить эти входы (см. рисунок 22 а). 2R 1’ R 2’ R 3’ (m-1)’ R m’ 2R A B 2R 2R 1 2R 2 2R 3 2R (m-1) m A) R 1’ R 2’ R 3’ R 2R 2 B) Рисунок 22 Применив элементарные правила электротехники, электрическая схема может быть преобразована к виду (см. рисунок 22в) – что и требовалось доказать. Второе и третье свойства матрицы R-2R предлагается доказать самостоятельно. Рассмотрим пример использования резистивной матрицы R-2R для преобразования цифрового кода в аналоговый сигнал. Схема подключения приведена на рисунке 23. 31 Допустим, что цифровой код, управляющий ключами, имеет вид 00…001. Это означает, что только младший разрядный вход будет подключен к опорному источнику напряжения Uоп. Рассмотрим распределение потенциалов в узловых точках 1’, 2’, 3’,…, (m-1)’, m’. В узловой точке 1’ согласно свойству 3 потенциал U1’ будет равен U1’ = 1/3 Uоп . В узловой точке 2’ согласно свойству 2 потенциал U2’ будет равен U2’ = 1/3 * 1/2 Uоп . В узловой точке 3’ согласно свойству 2 потенциал U3’ будет равен U3’ = 1/3 * 1/2 * 1/2 Uоп . В узловой точке m’ согласно свойству 2 потенциал Um’ будет равен Um’ = 1/3 * (1/2)m-1 Uоп . Uвых 2R 1’ R 2’ R 3’ (m-1)’ R m’ 2R A B 2R 2R 2R 2R 2R 1 2 3 (m-1) m U оп Упр авление ключами осуществл яется цифровым кодом Рисунок 23 Если управлять произвольным цифровым кодом, то выходное напряжение преобразователя, равное напряжению в узловой точке m’ будет равно: 1 U i m U вых  U m'   mвх1  ai 2 mi  UN , 3 2 i 1 где 1 U U   mвх1 - величина дискретности выходного напряжения. 3 2   1  разрядный _ вход _ подключен_ к _ U оп a  i 0  разрядный _ вход _ не _ подключен_ к _ U оп  где N - числовой эквивалент преобразуемого цифрового кода. 32 Рассмотрим ещё один пример. К узловым точкам подключены идентичные источники тока I0 (см. рисунок 24). При подключении источника тока к точке1’ распределение потенциалов в узловых точках будет следующим: U1’ = 1/3 Iо(2R) = 2/3 IоR. В узловой точке 2’ согласно свойству 2 потенциал U2’ будет равен U2’ = 2/3 * 1/2 IоR. В узловой точке 3’ согласно свойству 2 потенциал U3’ будет равен U3’ = 1/3 * 1/2* 1/2 IоR. В узловой точке m’ согласно свойству 2 потенциал Um’ будет равен Um’ = 2/3 * (1/2)m-1 IоR. Рисунок 24. При управлении ключами источников тока двоичным кодом получим U вых  U m'  где U  i m 2 1  m1 I 0 R ai 2 mi  UN , i 1 3 2 2 I0R - величина дискретности выходного напряжения.  3 2 m1   1  разрядный _ вход _ подключен_ к _ I 0 a  i 0  разрядный _ вход _ не _ подключен_ к _ I  N - числовой эквивалент преобразуемого цифрового кода. На рисунке 25 приведена функциональная схема микросхемы К572ПА1, выполненной на основе резистивной матрицы R-2R. На вход микросхемы подаётся десятиразрядный двоичный код, подлежащий преобразованию в аналоговую величину. Входное напряжение от каждого разряда поступает на усилитель-инвертор, имеющий два выхода – прямой и инверсный. На прямом выходе создаётся 33 напряжение логического уровня, совпадающего с входным, на инверсном выходе – напряжение инверсное входному. Выходные напряжения усилителей управляют токовыми ключами. Если аi = 1, то открывается ключ, через который разрядный ток поступает на Вых 1 внешнего операционного усилителя ОУ. Если аi = 10, то открывается другой ключ, разрядный ток через который поступает на Вых 2 внешнего операционного усилителя ОУ. Таким образом, на входе 1 суммарный ток будет равен n m i 1 i 1 I вых1   ai I i  I 0  ai 2 i , а на входе 2 соответственно m I вых 2  I 0  ai 2 i . i 1 Если входы 1 и 2 подключены к операционному усилителю согласно рисунку 25, то m U вых  Roc I вых1  Roc I 0  ai 2 i  U оп i 1 I0  Rос m ai 2 i ,  R i 1 U оп . R m Так как a 2 i 1 i i = N – десятичное представление входного двоичного числа (обратная величина, то есть сумма будет равна 1, если все аi будут равны 1), то U вых  U оп Rос N , а если Rос = R, то Uвых = Uоп R Таким образом, максимальное напряжение на выходе преобразователя, при соблюдении определённых условий может быть равно опорному напряжению. 34 Рисунок 25 - Функциональная схема микросхемы К572ПА1 2.1.2 Последовательные цифро-аналоговые преобразователи В задачах автоматизации часто встречаются задачи передачи оцифрованной информации на значительные расстояния с последующим преобразованием этой информации в аналоговый сигнал. В таких системах обычно используются двухпроводные линии связи, а все цифровые коды соответственно передаются в последовательном виде. Для преобразования последовательного кода в аналоговый сигнал могут быть использованы различные способы. Первый основан на уже известных способах преобразования последовательного кода в параллельный (например, с помощью К555ИР8 – восьмиразрядного сдвигового регистра с последовательным входом и параллельными выходами) и последующим преобразованием в аналоговый сигнал известным способом. Второй способ основан на использовании последовательных ЦАП. Одним из распространенных последовательных ЦАП являются ЦАП, выполненные на основе устройств выборки и хранения. Рассмотрим подобный преобразователь (см. рисунок 26). 35 Рисунок 26 а) последовательный ЦАП на основе устройств выборки и хранения; б) схема управления ключами S1 – S4. В схему входят два устройства выборки и хранения: входное УВХ1 и выходное УВХ2, ключи S1 – S4 и схема управления ключами. Преобразование последовательного кода производится за n-тактов, где n – число разрядов последовательного кода. Последовательный код движется младшим разрядом вперёд. В первом полупериоде первого такта суммируется с Uвых и делится на 2. В конце первого полупериода на выходе УВХ1 появляется напряжение U 1i  где 1 U оп * аi  U 2(i1) , 2 U1i - напряжение на выходе УВХ1 на текущем шаге; 36 U2(i-1) - напряжение на выходе УВХ2 на предыдущем шаге. Напряжение U1i запоминается на конденсаторе С1 УВХ1 (в режиме хранения ключ S2 разомкнут). Во втором полупериоде первого такта ключ S3 замыкается и напряжение с выхода УВХ1 подаётся на вход УВХ2. Таким образом, в конце первого такта напряжение на выходе УВХ2 равно напряжению на выходе УВХ1 (U1i= U2i). Стоит отметить, что в первом полупериоде первого такта U2(i-1)=0. На следующем (i+1) такте процесс повторяется. С каждым тактом напряжение на конденсаторе С2 изменяется в зависимости от того, чему равнялся разряд на текущем такте. В конце n-го такта конденсатор С2 зарядится до напряжения U2n = Uоп * N, где N - числовой эквивалент преобразуемого цифрового кода. В конце n-го такта открывается ключ S4 и напряжение с U2n выхода УВХ2 поступает на выход преобразователя. Точность и быстродействие таких преобразователей практически полностью определяется качеством используемых УВХ. 37 2.2 Аналого-цифровые преобразователи Преобразование аналоговой величины в цифровой код выполняют аналого-цифровые преобразователи (АЦП). Процесс преобразования является, в общем случае, измерительным процессом. Преобразование происходит путём сравнения преобразуемой величины с набором эталонных величин, имеющих одинаковую природу с преобразуемой. По способу преобразования АЦП можно разделить на: - последовательные; - параллельные; - последовательно-параллельные; - с промежуточным преобразованием. 2.2.1 Последовательные АЦП Наибольшее распространение по ряду причин получили последовательные АЦП с ЦАП в цепи обратной связи. На рисунке 2.2.1 представлена функциональная схема последовательного преобразователя. Uос Uоп Цифроанлоговый преобразователь U1 U2 + ОУ Цифровой код fт Логическое устройство Пуск Uвх Uк + - Км Рисунок Км - компаратор; ОУ - операционный усилитель. Рисунок 2.2.1 - Функциональная схема последовательного АЦП с ЦАП в цепи ОС 38 В основу работы преобразователя положен принцип сравнения выходного сигнала ЦАП (выход операционного усилителя ОУ1), который изменяется по закону, определённому видом логического устройства. При равенстве напряжений: входного (преобразуемого), подаваемого на положительный вход компаратора и напряжения обратной связи (выходного напряжения ЦАП), подаваемого на отрицательный вход, компаратор формирует сигнал, останавливающий процесс уравновешивания. В момент останова на выходе АЦП будет сформирован код N, соответствующий входному напряжению U вх  U оп * N / N o , где m N   ai 2 i - числовой эквивалент кода; i 1 N0 = 2m - максимальное число. Статическая погрешность таких преобразователей определяется погрешностью компаратора и ЦАП. Рассмотрим подробнее принцип действия отдельных элементов преобразователя, за исключением ЦАП, который рассматривался в предыдущих разделах. Компаратор Согласно словарю по естественным наукам компаратор это средство сравнения, предназначенное для сличения мер однородных величин. В нашем контексте компаратор - аналоговое устройство, предназначенное для сравнения непрерывно изменяющихся входных сигналов. На практике в качестве компаратора может выступать либо специализированное устройство, либо быстродействующий дифференциальный усилитель постоянного тока с большим коэффициентом усиления Ку (рисунок 3.2), с малым дрейфом и смещением нуля и логическим выходом. Входной каскад компаратора должен обладать способностью выдерживать большие синфазные и дифференциальные сигналы на входах, не насыщаясь, т.е. не попадая в режимы, из которых компаратор будет долго выходить. В некоторых моделях компараторов для повышения коэффициента усиления используют неглубокую положительную обратную связь. U1 U2 + +Uп Uвых - ОУ -Uп Рисунок 2.2.2 - Компаратор Выходной сигнал компаратора Uвых = Ку*(U1 – U2), 39 ввиду большого коэффициента усиления, достигающего нескольких тысяч, практически всегда ограничен величинами источников питания компаратора и поэтому может считаться логическим сигналом. По этой причине компаратор часто используют как элемент перехода от аналоговых к цифровым сигналам. Согласование по уровню выполняется подбором соответствующих источников электропитания, например, если +Uп=5В, -Uп=0 (однополярное питание), то такой компаратор легко согласует аналоговую часть какого-либо устройства с логической частью, выполненной на микросхемах серии ТТЛ или аналогичных. Иногда компаратор называют однобитным аналого-цифровым преобразователем. Однако не стоит забывать о том, что при «нулевой» разности входных сигналов реальный компаратор, имеющий очень большой, но всё же конечный коэффициент усиления, работает в усилительном режиме (рисунок 3.3). +Uп Uвых -Uп Рисунок 2.2.3 – Изменение выходного сигнала компаратора при «нулевой» разности входных сигналов Принцип работы компаратора Для того чтобы чувствительность компаратора была высокой, а именно возможность реагировать на бесконечно малые изменения входного сигнала, компаратор, как отмечалось выше, должен иметь бесконечно большой коэффициент усиления. В этом случае процесс переключения можно считать релейным (рисунок 3.4). Uвх +U п Uвы х U1 U2 t t -Uп Рисунок 2.2.4 – Принцип работы компаратора 40 Основная погрешность, вносимая компаратором в работу Аналого-цифрового преобразователя – динамическая погрешность компаратора. Динамическая погрешность компаратора характеризуется временем переключения tп. Это промежуток времени от начала сравнения до момента, когда выходное напряжение компаратора достигает противоположного логического уровня. Процесс смены логического уровня сопровождается переходным процессом, который в основном определяет время переключения tп. Также напрямую время переключения зависит от коэффициента усиления компаратора и величины рассогласования входных напряжений. Логические устройства В зависимости от способа построения логического устройства (от способа уравновешивания) искомой величины различают следующие виды АЦП: - АЦП развертывающего уравновешивания; - АЦП следящего уравновешивания - АЦП поразрядного уравновешивания 2.2.1.1 АЦП развертывающего уравновешивания Преобразователи данного типа в литературе часто встречаются под названием АЦП последовательного счёта. Компенсирующее напряжение Uк с выхода ЦАП подаётся на отрицательный вход компаратора и растёт от исходного значения Uк0 (в общем случае Uк0=0) до значения Uк = Uвх. Рост напряжения Uк0 происходит в каждом такте работы преобразователя на величину Δ Uк, соответствующую младшему разряду цифрового кода Δ Uк = Uоп/2n-1, Uоп – опорное напряжение цифро-аналогового преобразователя; n – разрядность цифро-аналогового преобразователя. В момент Uк = Uвх процесс преобразования заканчивается (см. рисунок 3.5). В дальнейшем АЦП может работать либо в следящем режиме, либо вновь повторить процесс уравновешивания, начиная с Uк0. В АЦП развертывающего уравновешивания схема логического устройства может быть представлена двоичным счетчиком импульсов, на вход которого через схему совпадения поступают импульсы ft от тактового генератора G (см. рисунок 3.6). Процесс преобразования начинается подачей кратковременного импульса «Пуск», который обнуляет двоичный счетчик, и, соответственно, компенсирующее напряжение принимает нулевое значение. До тех пор, пока компенсирующее напряжение с выхода ЦАП ниже, чем 41 входное, на выходе компаратора Км стоит высокий потенциал, соответствующий логической единице, который разрешает прохождению тактовых импульсов от генератора к двоичному счетчику. Изменение кодовых комбинаций на выходе счетчика ведёт к повышению компенсирующего напряжения и в момент времени, когда Uвх = Uк происходит срабатывание компаратора. На выходе компаратора устанавливается сигнал низкого уровня, соответствующий логическому нулю, который запрещает прохождение тактовых импульсов на вход счётчика и, соответственно, изменение кодовых комбинаций на его выходе. Одновременно сигнал низкого уровня с выхода компаратора разрешает запись кодовой комбинации с выхода двоичного счетчика на выход буферного регистра RG. Uвх , U к Uвх Uк Тп t Рисунок 2.2.5 – Изменение напряжения Uк в АЦП развертывающего уравновешивания Частота импульсов выбирается такой, чтобы в каждом такте выходное напряжение ЦАП устанавливалось с заданной точностью и происходило полное срабатывание компаратора (учитывалась динамическая погрешность устройства). Максимальное время преобразования Тп мах =Nмах / ft. Из рисунка 3.5 следует, что время преобразования Тп в АЦП развертывающего уравновешивания является величиной переменной и достигает своего максимального значения при максимальном входном сигнале, равном величине Uоп. 2.2.1.2 АЦП следящего уравновешивания 42 На первом этапе АЦП следящего уравновешивания работает аналогично АЦП последовательного счета. При достижении значения компенсирующего напряжения величины Uк = Uвх преобразователь начинает «следить» за входным сигналом (см. рисунок 3.7). Uвх - Км Uоп + СТ2 G fт Пуск & +1 R 21 2 2 3 2 24 25 . . . 2n ЦАП 1 2 3 4 5 . . . n RG 1 2 3 4 5 . . . n Цифро вой код Uк РЕ Рисунок 2.2.6 – Функциональная схема АЦП последовательного счета Логическое устройство в АЦП следящего уравновешивания может быть реализовано на базе реверсивного двоичного счётчика импульсов, который выполняет суммирование и вычитание импульсов в зависимости от состояния компаратора. Если Uвх > Uк, то импульсы суммируются и, соответственно, Uк увеличивается, и наоборот Uвх < Uк, то импульсы вычитаются и Uк уменьшается. В зависимости от скорости изменения Uвх в АЦП следящего уравновешивания можно наблюдать следящий режим или режим начального счёта. Функциональная схема АЦП следящего уравновешивания приведена на рисунке 3.8. Если скорость изменения входного сигнала Uвх не превышает скорости изменения компенсирующего сигнала Uк, то в любой момент времени входной сигнал Uвх будет отличаться от компенсирующего Uк, на величину, соответствующую младшему разряду цифрового кода (шага квантования) Δ Uк = Uоп/2n-1. Время преобразования в данном случае равно времени следования тактовых импульсов ft. 43 В режиме начального счёта работа АЦП следящего уравновешивания аналогична АЦП последовательного счета. Uвх , Uк Следящий режим Uвх Режим начального счета Uк Режим начального счета t Рисунок 2.2.7 – Изменение компенсирующего напряжения Uк в АЦП следящего уравновешивания 44 - Км Uоп + СТ2 G & fт & Пуск +1 -1 R 21 2 2 3 2 24 5 2 . . . 2n ВЫФ 1 ВЫФ ЦАП 1 2 3 4 5 . . . n RG 1 2 3 4 5 . . . n Цифровой код Uк Uвх РЕ Рисунок 2.2.8 – Функциональная схема АЦП последовательного счета 2.2.1.3 АЦП поразрядного уравновешивания Процесс уравновешивания производится в направлении от старших разрядов к младшим. Компенсирующее напряжение изменяется по закону n U к U оп  2 i * ai , i 1 где n – число разрядов АЦП; аi – состояние i-го разряда. При поразрядном уравновешивании в первом такте включается старший разряд с весом 2-1. Затем происходит сравнение входного напряжения Uвх с ½ Uоп. В случае, если Uвх > ½ Uоп разрядному коэффициенту а1 присваивается «1», в противном случае «0». На втором такте включается второй разряд с весом 2-2. Общий алгоритм вычисления компенсирующего напряжения на последующем шаге, кроме первого, следующий (см. рисунок 3.9). 45 Если на предыдущем шаге величина разрядного коэффициента равна «1», то напряжение соответствующее текущему разряду прибавляется к напряжению предыдущего шага. Если на предыдущем шаге величина разрядного коэффициента равна «0», то напряжение соответствующее текущему разряду вычитается из напряжения предыдущего шага. Uв х , Uк Uк Uвх ai 1 0 0 0 1 0 0 0 1 0 0 0 1 0 0 0 t Рисунок 2.2.9 - Изменение компенсирующего напряжения Uк в АЦП поразрядного уравновешивания В результате входное напряжение будет уравновешено компенсирующим напряжением n U вх U к U оп  2 i * ai  U оп * N , i 1 где N – цифровой эквивалент двоичного кода. Длительность цикла в таких преобразователях не зависит от величины входного напряжения, а определяется только разрядностью преобразователя n и частотой тактового генератора. Функциональная схема АЦП поразрядного уравновешивания приведена на рисунке 2.2.10. 46 ЦАП a n a n -1 a Цифровой код 1 К выходу 2 RG + Км - Uвх S S Tn & R S Tn-1 & R T1 R Пуск G & C RG n R & & n-1 . . . 1 К входу “S” Тn-2 & Рисунок 2.2.10 - Функциональная схема АЦП поразрядного уравновешивания 47 2.2.2 Параллельные АЦП В параллельных АЦП метод преобразования заключается в одновременном сравнении входной аналоговой величины Uвх с (2n-1) опорными напряжениями с помощью (2n-1) компараторов. Код, образуемый на выходах компараторов, представляет собой нормальный единичный код. Пример преобразования десятичного числа в нормальный единичный код приведён в таблице 3.1. Таблица 3.1 - Преобразование десятичного числа в нормальный единичный код Десятичное число 1 2 3 4 5 6 7 8 Единичный нормальный код 0000000 0000001 0000011 0000111 0001111 0011111 0111111 1111111 - Опорные напряжения в количестве (2n-1) формируются с помощью резистивного делителя (см. рисунок 3.11). Каждое из опорных напряжений подаётся вместе с входным напряжением на компаратор. На выходе компараторов, у которых Uвх> Uоп i формируется сигнал, соответствующий логической единице. Сигналы с выхода (2n-1) компараторов через (2n-1) фиксирующих триггеров подаётся преобразователь нормального единичного кода в двоичный. Наиболее простым решением задачи преобразования кодов является использование постоянных запоминающих устройств (ПЗУ). При этом нормальный единичный код выступает в качестве адреса для получаемого в качестве преобразования двоичного кода. Достоинством подобных преобразователей является высокое быстродействие. Время преобразования Тп достигает 10÷100 нс. Определённой платой за высокое быстродействие являются высокие аппаратурные затраты на реализацию подобных преобразователей. Для реализации 10-разрядного АЦП необходимо объединить в одно устройство 1024 компаратора, 1024 триггера, резистивную матрицу на 1024 опорных источника и ПЗУ с 1024 входами и 10 выходами. По этой причине параллельные АЦП, выполненные по рассмотренному выше способу выпускают малоразрядными (4÷5 разрядов), а затем объединяют для получения требуемой разрядности. 48 Uоп (2n- 1)R (2n- 2)R 2R R + S T 1 R + S T 1 R + + R 2R (2 n-2)R (2n -1)R S T ПЗУ 2n-2 N R S T 2 2n-1 R Uвх Сброс Рисунок 2.2.11 - Функциональная схема параллельного АЦП 2.2.3 Интегрирующие АЦП Интегрирующие АЦП относятся к классу преобразователей с промежуточным преобразованием. По способу преобразования интегрирующие АЦП являются разновидностью АЦП последовательного счёта и характеризуются повышенной точностью. Сущность метода, используемого в данных АЦП, заключается в преобразовании путём интегрирования входного сигнала во временной интервал, а затем измерения временного интервала также методом интегрирования. Именно поэтому данный метод называется методом двойного интегрирования. Основным элементом преобразователя является интегратор (см. рисунок 3.12) C Uвх R - ОУ Uвых + Рисунок 2.2.12 – Интегратор 49 Выходное напряжение интегратора U вых   где Т 1 U вх (t )dt , T – постоянная времени интегрирования Т=RC; Знак «-» означает отрицательную обратную связь. В случае, если быстродействие преобразователя много больше, чем скорость изменения входного сигнала, то на время преобразования входной сигнал можно считать постоянным (Uвх – const) и, следовательно, U вых   1 * U вх * t , T где t – время интегрирования. Функциональная схема преобразователя и временная диаграмма, поясняющая его работу представлены на рисунках 3.13 и 3.14 соответственно. Процесс преобразования входного напряжения в цифровой код начинается по сигналу «ПУСК» (см. рисунок 3.13) на триггер Т1 (не следует путать обозначения триггеров с временными интервалами Т1 и Т2). Триггер Т1 взводится и подключает входное напряжение через ключ К1 к интегратору Ин. Когда напряжение на выходе интегратора становится равным напряжению U0 со схемы совпадения СС (момент времени t1 на рисунке 3.14) , на вход S триггера Т3 подаётся логический сигнал, который взводит триггер. На прямом выходе триггера появляется высокий потенциал, который разрешает прохождение импульсов частотой f от генератора G через схему совпадения И к двоичному счетчику. С приходом каждого импульса выходная кодовая комбинация счетчика увеличивается. Интегрирование ведётся до момента времени t2 (см. рисунок 3.14), когда счетчик переполняется, сбрасывается в исходное состояние (на выходе счетчика все нули) и выдаёт с (2n+1)го выхода сигнал переполнения на триггеры Т1 и Т2. Триггер Т1 сбрасывается в исходное состояние и отключает входное напряжение от интегратора (ключ К1 размыкается). Триггер Т2 взводится и подключает опорное напряжение Uоп к входу интегратора через ключ К2. Опорное напряжение Uоп имеет противоположный знак по отношению к входному напряжению. В момент t3, когда напряжение на выходе интегратора Uвых станет равным напряжению U0, со схемы совпадения поступает сигнал, который сбрасывает Т2 в исходное состояние. Ключ К2 размыкается и опорное напряжение отключается от интегратора. К этому моменту на выходе счетчика будет записан код, являющийся цифровым эквивалентом входного аналогового сигнала. Покажем, что это именно так. Цифровой код, сформированный на выходе счетчика в момент времени t3 равен 50 N T2  где , Т2 – время интегрирования Uоп; τ – период тактовой частоты генератора. Найдём связь между временным интервалом Т2 и напряжением Uвх. В момент времени t2, когда интегрирование входного напряжения Uвх заканчивается на выходе интегратора устанавливается напряжение Uвых, равное U вых   где 1 * U вх * T1, T Т1- время интегрирования Uвх. С другой стороны U вых   где 1 * U оп * T 2 , T Т2- время интегрирования Uоп. Из этих выражений следует, что Т2  U вх * Т 1 . U оп Следовательно, цифровой код, сформированный на выходе счетчика в момент времени t3 равен N T2   где U вх * Т1 U вх n  2 , U оп *  U оп n – число разрядов в счетчике. К достоинствам АЦП с двойным преобразованием относят достаточно высокую точность, которая достигается использованием одних и тех же узлов при интегрировании Uвх и Uоп и позволяет компенсировать ошибки. Существенным недостатком является низкое быстродействие. 51 СТ2 К1 Ин CC S T3 +1 & R Uвых К2 Uо G Пуск 1 2 3 4 5 . . . n 2 n 2 +1 Цифровой код Uвх S T1 R S T2 R Рисунок 2.2.13 - Функциональная схема преобразователя с двойным интегрированием Пуск Uвых U0 t1 t2 T1 t3 T2 К1 К2 Импульсы счета Импуль с переполнения Рисунок 2.2.14 – Временная диаграмма работы преобразователя 52 3 МЕТРОЛОГИЧЕСКИЕ ХАРАКТЕРИСТИКИ КАНАЛОВ ВВОДА ВЫВОДА 3.1. Измерения. Виды измерений Измерение представляет собой процесс нахождения значения физической величины опытным путём с помощью специальных технических средств [2]. Процесс измерения происходит путём сравнения измеряемой величины с аналогичной по природе величиной, принятой за единицу измерения. Результат измерения может быть выражен следующим образом: R  Q/q , где (3.1) Q – измеряемая физическая величина; q – единица измерения; R – результат (численное значение) измерения. По способу получения цифрового значения изменения можно разделить на прямые и косвенные [2]. Прямыми измерениями называют такие, при которых искомое значение находят непосредственно из опытных данных. Например, измерение давления манометром. Результат измерения может быть получен также косвенным путём. Косвенные измерения – это такие измерения, когда численное значение искомой величины находят на основании прямых измерений величин, связанных с искомой определённой зависимостью f y  f ( x , x ,...,x n ) 1 2 , где (3.2) y – искомая величина; x , x ,...,xn 1 2 – численные значения величин, измеренные прямым способом. Примером косвенного измерения может быть определение плотности тела по измерениям его массы и объёма. Измерения производятся на основе физических явлений, положенных в основу измерения. Физические явления определяют принцип измерения. Например, измерение температуры по расширению вещества, или уровня по величине выталкивающей силы, действующей на чувствительный элемент. Технические средства, используемые при измерении и имеющие нормированные метрологические характеристики, называются средствами измерения. Совокупность правил, определяющих принципы и средства измерения, называют методом измерения. В технических измерениях получили распространение следующие методы: метод непосредственной оценки; дифференциальный метод; нулевой метод. 53 В методе непосредственной оценки значение измеряемой величины определяется непосредственно по отсчетному устройству измерительного прибора прямого действия. Например, измерение силы тока амперметром. В дифференциальном методе измерение производится сравнением измеряемой и базовой величины, значение которой заранее известно. Точность данного метода во многом определяется точностью базовой величины. Примером реализации данного метода может служить термокондуктометрический газоанализатор, в котором изменение состава газовой смеси определяют по изменению теплопроводности путём сравнения с теплопроводностью воздуха. В нулевом методе измерение производится сравнением измеряемой и известной величин, путём сведения разности между ними до нуля. Нулевой метод широко применяется в мостовых схемах (уравновешенный мост). 3.2 Средства измерений Средства измерений – это средства, имеющие нормированные метрологические характеристики, которые определяют точность и достоверность результатов измерения. Средство измерения, вырабатывающее сигнал измерительной информации в форме, удобной для непосредственного восприятия наблюдателем, называется измерительным прибором. Измерительные приборы могут быть аналоговыми и цифровыми. В аналоговом измерительном приборе показания являются непрерывной функцией изменения измеряемой величины. В цифровом приборе показания представляются в цифровой форме (непрерывный измерительный сигнал, квантованный по времени и уровню). Измерительные приборы разделяются на показывающие и регистрирующие. В показывающих приборах значение считывается по шкале или цифровому табло, а в регистрирующих информация регистрируется на диаграммной бумаге, в память регистратора с возможностью просмотра или печатается в цифровой форме. Измерительным преобразователь – это средство измерения, предназначенное для выработки сигнала измерительной информации в форме, удобной для последующей передачи, преобразования, хранения и т.д. не поддающейся непосредственному восприятию наблюдателем. В настоящее время всё чаще функции прибора и преобразователя совмещают в одном устройстве. В зависимости от роли, которую выполняют средства измерения в процессе измерения, они делятся на рабочие, образцовые и эталонные. Рабочие средства измерения предназначены для практических измерений во всех отраслях народного хозяйства. Образцовые средства измерений предназначены для передачи размеров единиц физических величин от эталонов к рабочим средствам измерений. 54 Эталоны служат для воспроизведения и хранения физических величин и передачи их размера через образцовые рабочим средствам измерений. 3.3 Погрешности измерений и их оценка При измерении обычно получается значение измеряемой физической величины, отличающееся от истинного значения. Как правило, истинное значение неизвестно и вместо него используют действительное значение физической величины, которое находится экспериментально и настолько приближается к истинному, что в дальнейшем может использоваться вместо него. Это значение называется результатам измерения. Отклонение результата измерения от истинного значения называется погрешностью измерения. Погрешность измерения, выраженная в единицах измеряемой величины, называется абсолютной погрешностью x  x  xист где x xист - истинное значение; x - измеренное значение. (3.3) - абсолютная погрешность; Отношение абсолютной погрешности к истинному значению измеряемой величины называется относительной погрешностью измерения и обычно выражается в процентах  x 100 % xист . Измерительные приборы часто характеризуются приведённой погрешностью γ. Приведенная погрешность - отношение абсолютной погрешности средства измерения к шкале измерительного прибора. Погрешность вычисляется по формуле  x 100 % x N (3.4) где хN - нормирующее значение, которое зависит от типа шкалы измерительного прибора и определяется по его градуировке: если шкала прибора односторонняя, т.е. нижний предел измерений равен нулю, то хN определяется равным верхнему пределу измерений; если шкала прибора двухсторонняя, то нормирующее значение равно ширине диапазона измерений прибора. Приведенная погрешность - безразмерная величина, но часто измеряется в процентах от нормирующего значения. 55 Погрешность измерения состоит из нескольких составляющих, основными из которых являются: инструментальная погрешность; методическая погрешность. Инструментальная погрешность зависит от погрешности применяемых средств измерения. Методическая погрешность обусловлена несовершенством метода измерения. Примером может служить измерение кривой линии линейкой. Измерение кривой линии линейкой – несовершенный метод, хотя и возможный. Несовершенство метода в данном случае является источником методической погрешности. С другой стороны, физическая длина линейки как измерительного инструмента не соответствует истиной длине, указанной на шкале. Возникает инструментальная погрешность. Целью вычисления погрешностей измерений является оценка точности результата. Точность измерений – близость результата измерений к действительному значению измеряемой величины. Способы увеличения точности напрямую связаны с уменьшением погрешности, что в ряде случаев является сложным и дорогим удовольствием. Поэтому точность измерений всегда должна адекватной условиям и целям измерений. 3.4 Метрологические характеристики средств измерения Сигнал, поступающий на вход средства измерения, называется входным сигналом, а сигнал, получаемый на выходе – выходным сигналом. Зависимость выходного сигнала от входного, представленная в виде таблицы, графика, формулы, называется градуировочной характеристики средства измерения. Отношение изменения выходного сигнала к вызвавшему его изменению измеряемой величины называется чувствительностью измерительного прибора S  l / x где (3.5) l - изменение сигнала на выходе; x - изменение измеряемой величины (на входе). Существует понятие порога чувствительности – наименьшее изменение измеряемой величины, способное вызвать изменение выходной величины. Очень часто на результат измерений оказывают влияние величины, не являющиеся предметом измерений. Такие величины называют влияющими физическими величинами. Условия, при которых влияющие величины находятся в пределах нормальных значений, называются нормальными условиями. При нормальных условиях определяется основная погрешность измерительного прибора. Кроме нормальных условий в стандартах или технических условиях на 56 средства измерения устанавливается рабочая область для влияющих величин, в пределах которой устанавливается дополнительная погрешность. При оценке погрешностей измерений большое значение имеет метрологические характеристики средств измерений. Одной из таких характеристик является класс точности. Классом точности называется обобщённая характеристика средства измерения, определяемая пределами допускаемых основных и дополнительных погрешностей, а также другими свойствами средства измерения, влияющими на точность. Пределы допускаемых основных и дополнительных погрешностей средств измерения для каждого класса точности чаще устанавливаются в виде приведённой к диапазону измерения абсолютной погрешности (2.4). 3.5 Оценка погрешности измерительных каналов в технических измерениях В технических измерениях необходимо учитывать, что измерительная цепь состоит из нескольких устройств, каждое из которых обладает погрешностью. Измерительная цепь или измерительный канал, как правило, включает измерительный преобразователь, линии связи или промежуточный преобразователь, вторичный измерительный прибор или аналогоцифровой преобразователь перед входом в вычислительное устройство или ЭВМ. Существуют два способа оценки погрешностей измерительного канала. В первом методе производится оценка пределов погрешности измерительного канала по погрешностям устройств, входящих в канал, определяемым их классом точности. Т.е. определяется максимальная допустимая погрешность канала. Эта допустимая погрешность ик оценивается как корень квадратный из суммы квадратов пределов допустимых погрешностей устройств, входящих в измерительный канал [3]  ик   2   2  ...  2 n. 1 2 (3.6) В технических измерениях это способ является наиболее распространенным. Этот способ достаточно строгий, если предельные погрешности независимы и их значения соответствуют одинаковым доверительным вероятностям при одинаковых законах распределения. В других же случаях применение выражения (2.6) может быть некорректным. Второй способ, основанный на вероятно-статистической оценке погрешности, значительно сложнее, чем первый, но даёт более корректные и строгие результаты [3]. 57 ИСПОЛЬЗОВАННЫЕ ИСТОЧНИКИ 1 Микропроцессоры и микропроцессорные комплекты интегральных микросхем: Справочник. В 2 т. / В. Б. Абрайтис, Н. Н. Аверьянов, А. И. Белоус и др.; Под ред. В. А. Шахнова. – М.: Радио и связь, 1988. – Т.1. - 368 с.: ил. 2 Преображенский В. П. Теплотехнические измерения и приборы. 3-е изд. – М.: Энергия, 1978. – 702 с. 3 Иванова Г.М. и др. Теплотехнические измерения и приборы: Учебник для вузов/Г. М. Иванова, Н. Д. Кузнецов, В. С. Чистяков. – М.: Энергоатомиздат, 1984.- 232 с., ил. 58
«Системы реального времени. Устройства ввода/вывода информации» 👇
Готовые курсовые работы и рефераты
Купить от 250 ₽
Решение задач от ИИ за 2 минуты
Решить задачу
Найди решение своей задачи среди 1 000 000 ответов
Найти

Тебе могут подойти лекции

Смотреть все 142 лекции
Все самое важное и интересное в Telegram

Все сервисы Справочника в твоем телефоне! Просто напиши Боту, что ты ищешь и он быстро найдет нужную статью, лекцию или пособие для тебя!

Перейти в Telegram Bot